本文介绍: 本专栏内容主要是记录本人学习Verilog过程中的一些知识点刷题网站用的是牛客网根据题意7分频,实际上是第一次电平变化经历了4个上升沿+3个下降沿,第二次电平变化是4个下降沿+3个上升沿,所以用两个计数器就行了。分别对上升沿和下降沿进行计数计数总共到7就可以输出信号取反
专栏前言

专栏内容主要是记录本人学习Verilog过程中的一些知识点刷题网站用的是牛客

根据题意7分频,实际上是第一次电平变化经历了4个上升沿+3个下降沿,第二次电平变化是4个下降沿+3个上升沿,所以用两个计数器就行了。分别对上升沿和下降沿进行计数计数总共到7就可以输出信号取反

`timescale 1ns/1ns

module odo_div_or
   (
    input    wire  rst ,
    input    wire  clk_in,
    output   wire  clk_out7
    );

//*************code***********//
    reg [3:0] cnt1, cnt2 ; 
    reg [1:0] data ; 

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) cnt1 <= 'd0 ; 
        else cnt1 <= cnt1 + 1'd1 ; 
    end

    always @ (negedge clk_in or negedge rst) begin 
        if (~rst) cnt2 <= 'd0 ; 
        else cnt2 <= cnt2 + 1'd1 ; 
    end

    always @ (*) begin 
        if (~rst) data <= 'd0 ;
        else if (cnt1 + cnt2 == 3'd7) begin 
            cnt1 <= 'd0 ;
            cnt2 <= 'd0 ; 
            data <= ~data ; 
        end
        else data <= data ; 
    end

    assign clk_out7 = data ; 

//*************code***********//
endmodule

原文地址:https://blog.csdn.net/m0_54689021/article/details/134776897

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任

如若转载,请注明出处:http://www.7code.cn/show_37466.html

如若内容造成侵权/违法违规/事实不符,请联系代码007邮箱suwngjj01@126.com进行投诉反馈,一经查实,立即删除

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注